50695 晶圓代工,戰(zhàn)火蔓延
服務(wù)熱線:400-858-9000 咨詢/投訴熱線:18658148790
國(guó)內(nèi)專業(yè)的一站式創(chuàng)業(yè)服務(wù)平臺(tái)
晶圓代工,戰(zhàn)火蔓延
長(zhǎng)期以來,在摩爾定律的驅(qū)動(dòng)下,晶圓代工廠一直緊追芯片制程工藝一路向前。時(shí)至今日,這場(chǎng)決賽的最后僅剩臺(tái)積電、三星和英特爾,在先進(jìn)制程節(jié)點(diǎn)展開肉搏。
本文來自于微信公眾號(hào)“半導(dǎo)體行業(yè)觀察”(ID:icbank),作者: L晨光,投融界經(jīng)授權(quán)發(fā)布。

近年來,在人工智能、移動(dòng)和高性能計(jì)算應(yīng)用的驅(qū)動(dòng)下,半導(dǎo)體市場(chǎng)逐漸復(fù)蘇,市場(chǎng)對(duì)于先進(jìn)制程產(chǎn)能的需求非常旺盛。據(jù)數(shù)據(jù)預(yù)測(cè),全球芯片制造產(chǎn)能中,10nm以下制程占比將會(huì)大幅提升,將由2021年的16%上升至2024年近30%。

另一方面,瞄準(zhǔn)先進(jìn)制程的幾大巨頭間的競(jìng)爭(zhēng)也十分激烈,都意在通過展示綜合實(shí)力獲得更多市場(chǎng)份額。

在這場(chǎng)晶圓代工行業(yè)的反擊和保衛(wèi)戰(zhàn)中,臺(tái)積電、三星和英特爾都在不斷創(chuàng)新,爭(zhēng)奪制程技術(shù)的領(lǐng)先地位。臺(tái)積電會(huì)繼續(xù)“封神”嗎?多面出擊的三星和英特爾,又將奪得幾杯羹?

臺(tái)積電披露工藝路線與前景展望

作為半導(dǎo)體行業(yè)的領(lǐng)導(dǎo)者,臺(tái)積電在過去的30多年中立下赫赫戰(zhàn)功,成為世界第一大芯片代工企業(yè)。

在半導(dǎo)體科技的快速演進(jìn)中,臺(tái)積電一直是全球先進(jìn)制程技術(shù)的引領(lǐng)者。

臺(tái)積電工藝路線圖披露

近期,臺(tái)積電又宣布了一系列雄心勃勃的工藝路線圖更新,預(yù)示著半導(dǎo)體制造即將邁入一個(gè)前所未有的時(shí)代——?ngstr?m級(jí)工藝節(jié)點(diǎn)到來。

根據(jù)其工藝路線圖顯示,在2025年至2026年間,臺(tái)積電即將推出的幾項(xiàng)關(guān)鍵工藝技術(shù),包括N3X、N2、N2P,以及革命性的A16工藝,揭示它們?nèi)绾瓮苿?dòng)技術(shù)邊界,以及這些進(jìn)步對(duì)電子產(chǎn)品性能、能耗和未來技術(shù)發(fā)展的影響。

晶圓代工,戰(zhàn)火蔓延

臺(tái)積電工藝路線圖

N3P:作為N3工藝的增強(qiáng)版,N3P在性能、功耗和密度方面進(jìn)一步優(yōu)化,為客戶提供更多選擇。

N3X:面向極致性能的3納米級(jí)工藝,通過降低電壓至0.9V,在相同頻率下能實(shí)現(xiàn)7%的功耗降低,同時(shí)在相同面積下提升5%的性能或增加約10%的晶體管密度。

N2:臺(tái)積電首個(gè)采用全柵(GAA)納米片晶體管技術(shù)的節(jié)點(diǎn),GAA晶體管通過環(huán)繞溝道四周的柵極提高了對(duì)電流的控制能力,從而顯著提升PPA特性,相較于N3E有明顯進(jìn)步,N2可使功耗降低25%-30%,性能提升10%-15%,晶體管密度增加15%。

N2P:N2的性能增強(qiáng)版本,進(jìn)一步優(yōu)化功耗和性能,在相同晶體管數(shù)量和頻率下,N2P預(yù)計(jì)能降低5%-10%的功耗,同時(shí)提升5%-10%的性能。適合對(duì)這兩方面都有較高要求的應(yīng)用。

A16:臺(tái)積電的A16工藝首次引入背面供電網(wǎng)絡(luò)技術(shù)(BSPDN),這一創(chuàng)新直接將電源供應(yīng)集成到晶體管的背面,極大地提升了電力傳輸效率和晶體管密度;同時(shí)結(jié)合GAAFET納米片晶體管,目標(biāo)是在性能和能效上有顯著提升。A16將成為首個(gè)“埃級(jí)”工藝節(jié)點(diǎn),標(biāo)志著半導(dǎo)體制造進(jìn)入一個(gè)新的時(shí)代。

晶圓代工,戰(zhàn)火蔓延

與N2P相比,A16在相同電壓和復(fù)雜度下,預(yù)計(jì)性能提升8%-10%,功耗降低15%-20%,芯片密度提升了1.1倍。這一技術(shù)的引入,將為高性能計(jì)算產(chǎn)品,尤其是那些對(duì)能源效率和信號(hào)路徑有極高要求的應(yīng)用,開啟新的可能性。

綜合來看,臺(tái)積電這一系列工藝技術(shù)創(chuàng)新不僅展示了其在半導(dǎo)體制造領(lǐng)域的持續(xù)領(lǐng)導(dǎo)力,更為未來電子產(chǎn)品的性能升級(jí)、能源效率提升以及更廣泛的技術(shù)革新奠定了堅(jiān)實(shí)基礎(chǔ)。尤其是隨著“埃”級(jí)工藝節(jié)點(diǎn)的到來,半導(dǎo)體行業(yè)正步入一個(gè)充滿挑戰(zhàn)與機(jī)遇的新時(shí)代。

另外,據(jù)了解臺(tái)積電的A16制程不依賴于最新的High-NA EUV技術(shù),這使得成本更具競(jìng)爭(zhēng)力,也符合了當(dāng)前AI芯片公司對(duì)設(shè)計(jì)最佳化的迫切需求。

還值得關(guān)注的是,臺(tái)積電整個(gè)N2系列將增加全新的NanoFlex功能,該功能允許芯片設(shè)計(jì)人員在同一塊設(shè)計(jì)中混合和匹配來自不同庫的單元,以優(yōu)化芯片的性能、功率和面積 (PPA)。

晶圓代工,戰(zhàn)火蔓延

相較于臺(tái)積電的N3制造工藝已經(jīng)支持類似功能的FinFlex,由于N2依賴于GAAFET晶體管,因此NanoFlex能為臺(tái)積電提供了一些額外的控制:比如臺(tái)積電可以優(yōu)化通道寬度以提高性能和功率,然后構(gòu)建短單元(以提高面積和功率效率)或高單元(以提高15%的性能)。

時(shí)間方面,臺(tái)積電N2工藝將于2025年進(jìn)入風(fēng)險(xiǎn)生產(chǎn),并于2025年下半年進(jìn)入大批量生產(chǎn);性能增強(qiáng)型N2P和電壓增強(qiáng)型N2X將于2026年問世;A16先進(jìn)制程預(yù)計(jì)將于2026年下半年推出。

臺(tái)積電市場(chǎng)展望:AI需求強(qiáng)勁,車用與工控疲軟

從市場(chǎng)研究機(jī)構(gòu)TrendForce集邦咨詢近日公布的2024年第一季全球前十大晶圓代工企業(yè)營(yíng)收排名來看,盡管AI相關(guān)HPC需求相當(dāng)強(qiáng)勁,TSMC第一季仍受到智能手機(jī)、NB等消費(fèi)性備貨淡季,營(yíng)收季減約4.1%,收斂至188.5億美元,由于其他競(jìng)業(yè)同樣面臨消費(fèi)淡季挑戰(zhàn),因此市占維持在61.7%。第二季隨著主要客戶Apple進(jìn)入備貨周期,及AI服務(wù)器相關(guān)HPC芯片需求持續(xù)穩(wěn)健,有機(jī)會(huì)帶動(dòng)營(yíng)收呈個(gè)位數(shù)季成長(zhǎng)率走勢(shì)。

晶圓代工,戰(zhàn)火蔓延

另有數(shù)據(jù)顯示,2024年前五個(gè)月,臺(tái)積電的營(yíng)收同比增長(zhǎng)27%,遠(yuǎn)超行業(yè)平均水平,這表明臺(tái)積電的市場(chǎng)需求依然強(qiáng)勁。

目前臺(tái)積電占據(jù)著全球代工市場(chǎng)61%的市場(chǎng)份額,遠(yuǎn)超排名第二的三星的11%。這種市場(chǎng)主導(dǎo)地位使得臺(tái)積電在客戶中具有很高的吸引力,許多頂尖的芯片制造商,如Nvidia、AMD、蘋果和高通等,都是其長(zhǎng)期合作伙伴。

隨著AI技術(shù)迅速發(fā)展,AI芯片需求呈現(xiàn)爆炸式增長(zhǎng)。臺(tái)積電歐亞業(yè)務(wù)資深副總暨副共同營(yíng)運(yùn)長(zhǎng)侯永清指出,今年AI需求非常的強(qiáng)勁,手機(jī)跟PC業(yè)務(wù)也已經(jīng)開始緩慢復(fù)蘇中,但是車用與工控需求仍稍微疲軟。

從數(shù)據(jù)來看,與去年相比,今年AI加速器增長(zhǎng)大約2.5倍;PC市場(chǎng)今年會(huì)有1-3%增長(zhǎng);手機(jī)市場(chǎng)在經(jīng)歷兩年衰退后今年會(huì)增長(zhǎng)1-3%;車用芯片市場(chǎng)今年需求疲軟,業(yè)績(jī)預(yù)估衰退1-3%;IoT預(yù)估增長(zhǎng)7-9%,但相較過往年增幅20%是呈現(xiàn)下滑。

花旗指出,大多數(shù)AI GPU目前使用4/5/7納米工藝,隨著AI PC和智能手機(jī)的需求增長(zhǎng),疊加芯片制造技術(shù)的逐漸成熟,預(yù)計(jì)其中的大多數(shù)將在2025年底前遷移到3納米工藝。而作為先進(jìn)半導(dǎo)體技術(shù)的領(lǐng)頭羊,臺(tái)積電預(yù)計(jì)將在2025年獲得更多3納米芯片訂單,特別是來自蘋果、高通和聯(lián)發(fā)科等客戶。屆時(shí),臺(tái)積電3納米工藝的利用率將保持緊張狀態(tài)。

臺(tái)積電此前也表示,預(yù)計(jì)2024年的資本支出在280億至320億美元之間,預(yù)計(jì)2025年可能增至350億至400億美元,這些巨額預(yù)算主要用于2/3納米工藝的研發(fā)和生產(chǎn)。

臺(tái)積電通過持續(xù)的技術(shù)創(chuàng)新和戰(zhàn)略投資,已經(jīng)建立了堅(jiān)實(shí)的市場(chǎng)基礎(chǔ)。

全球擴(kuò)建產(chǎn)能,先進(jìn)工藝留在臺(tái)灣

臺(tái)積電在中國(guó)臺(tái)灣生產(chǎn)了世界上大多數(shù)最先進(jìn)的處理器,但近年來正在改變戰(zhàn)略,在將制造業(yè)務(wù)留在臺(tái)灣的同時(shí),并在美國(guó)建立晶圓廠生產(chǎn)先進(jìn)芯片,在日本生產(chǎn)相當(dāng)先進(jìn)的處理器,并在歐洲生產(chǎn)專用芯片。

據(jù)了解,臺(tái)積電自2022年到2023年新建了五座工廠,今年在建有七座工廠,其中三個(gè)是晶圓廠、兩個(gè)是封裝廠,還有兩個(gè)海外晶圓廠,今年臺(tái)積電先進(jìn)制程占67%

在代工方面,在臺(tái)灣新建的新竹Fab 20和高雄Fab 22均為2nm晶圓廠,目前已開始裝機(jī),預(yù)計(jì)2025年量產(chǎn)。

從全球來看,臺(tái)積電在美國(guó)亞利桑那州計(jì)劃投資650億美元興建三座尖端制程晶圓廠。其中,第一座晶圓廠已經(jīng)開始裝機(jī),預(yù)計(jì)明年量產(chǎn)4nm;2022年底動(dòng)工的第二座晶圓廠,預(yù)計(jì)2028年量產(chǎn)3nm;第三座晶圓廠還在規(guī)劃中,預(yù)計(jì)2030年之前進(jìn)入量產(chǎn)。

在日本熊本,臺(tái)積電計(jì)劃建設(shè)兩座晶圓廠,熊本第一座晶圓廠2022年4月動(dòng)工,預(yù)計(jì)今年第四季度量產(chǎn)22/28nm和12/16nm制程;熊本二廠預(yù)計(jì)2027年量產(chǎn)6/7nm制程。在德國(guó)臺(tái)積電將建16nm晶圓廠,預(yù)計(jì)今年第四季度動(dòng)工,2027年量產(chǎn)。

圍繞先進(jìn)封裝,臺(tái)積電也在加速擴(kuò)大CoWoS和SoIC產(chǎn)能。根據(jù)規(guī)劃,到2026年CoWoS生產(chǎn)線的年復(fù)合增長(zhǎng)率將超過60%,到年底產(chǎn)能將是2023年的4倍。SoIC產(chǎn)能將比2023年增長(zhǎng)8倍,年復(fù)合增長(zhǎng)率高達(dá)100%。

多管齊下,臺(tái)積電拉開了其大膽路線圖的帷幕,在先進(jìn)工藝、先進(jìn)封裝、國(guó)際化布局層面勾勒了宏偉藍(lán)圖。但其也表示將最關(guān)鍵的開發(fā)留在中國(guó)臺(tái)灣。

今年5月,中國(guó)臺(tái)灣新任科技部部長(zhǎng)吳正文表示,他相信臺(tái)積電能夠保護(hù)其專有的先進(jìn)技術(shù),并在向國(guó)際擴(kuò)張的同時(shí)繼續(xù)在中國(guó)臺(tái)灣建設(shè)其尖端晶圓廠。盡管臺(tái)積電在全球發(fā)展,但其最先進(jìn)的技術(shù)開發(fā)仍將在中國(guó)臺(tái)灣得到保障。

臺(tái)積電:全球工廠復(fù)制計(jì)劃

臺(tái)積電近期也證實(shí),其海外晶圓廠復(fù)制了中國(guó)臺(tái)灣首次采用的技術(shù)和工藝配方。

目前業(yè)界已充分認(rèn)識(shí)到,大型跨國(guó)晶圓廠需具備一套流程來復(fù)制其設(shè)施。隨著Gigafab(超級(jí)晶圓廠)規(guī)模的擴(kuò)大,為了保持必要的生產(chǎn)量并避免由于必須重新調(diào)整晶圓廠而產(chǎn)生的季度瓶頸,芯片制造商需能夠迅速將新的及更新的制造工藝應(yīng)用到其他設(shè)施。

英特爾已因其實(shí)施的“精確復(fù)制”計(jì)劃而聞名,該計(jì)劃允許其全球各地的晶圓廠共享工藝配方,以提升產(chǎn)量并降低性能波動(dòng),這成為了英特爾的主要競(jìng)爭(zhēng)優(yōu)勢(shì)之一。

同樣,隨著臺(tái)積電在全球范圍內(nèi)不斷增設(shè)新產(chǎn)能,也開始實(shí)施類似的計(jì)劃,以便盡快提升其在日本和美國(guó)新建晶圓廠的產(chǎn)量和效率。

據(jù)悉,臺(tái)積電實(shí)施了統(tǒng)一的晶圓廠管理,以確保全球范圍內(nèi)的超級(jí)晶圓廠能實(shí)現(xiàn)一致的運(yùn)營(yíng)效率和生產(chǎn)質(zhì)量。同時(shí),還在全球業(yè)務(wù)中致力于可持續(xù)發(fā)展,這涵蓋了綠色制造、全球人才培育、供應(yīng)鏈本地化以及履行社會(huì)責(zé)任。

談到工藝技術(shù)的改進(jìn)時(shí),主要有兩種主要機(jī)制:用于提高產(chǎn)量的持續(xù)工藝改進(jìn)(CPI),以及減少性能變化的統(tǒng)計(jì)過程控制(SPC)。為此,通過全球Gigafab制造,臺(tái)積電可以使用CPI和SPC通過在不同站點(diǎn)之間共享知識(shí)來提高全球范圍內(nèi)的產(chǎn)量和性能。

臺(tái)積電或?qū)?dòng)新一輪漲價(jià)

近期,多家芯片廠商和晶圓代工廠陸續(xù)宣布價(jià)格調(diào)整。

隨著頭部客戶(英偉達(dá)、AMD、英特爾、高通、聯(lián)發(fā)科、蘋果及谷歌)陸續(xù)導(dǎo)入3 納米制程,臺(tái)積電訂單已滿至2026年。為此,臺(tái)積電或?qū)?dòng)新一輪漲價(jià)談判。

在6月4日的股東大會(huì)上,剛剛?cè)嬲贫媾_(tái)積電的新任董事長(zhǎng)魏哲家表示,目前所有的AI半導(dǎo)體全部是由臺(tái)積電生產(chǎn)。暗示有漲價(jià)想法,3nm代工報(bào)價(jià)漲幅或在5%以上。

需要注意的是,晶圓代工廠的“內(nèi)卷”已經(jīng)出現(xiàn)收斂的信號(hào)。雖然目前晶圓廠漲價(jià)尚未成為既定事實(shí),但各大晶圓廠的產(chǎn)能利用率已經(jīng)明顯提升,不少?gòu)S商已出現(xiàn)滿產(chǎn)、甚至利用率超100%的情況。

在業(yè)內(nèi)看來,晶圓代工環(huán)節(jié)稼動(dòng)率的持續(xù)提升以及部分代工廠的滿產(chǎn),未來將帶來價(jià)格上漲的彈性。

此外,AI熱潮極大地推升了CoWoS需求,臺(tái)積電先進(jìn)封裝產(chǎn)能供不應(yīng)求也將延續(xù)至2025年。據(jù)悉,臺(tái)積電先進(jìn)封裝明年年度報(bào)價(jià)漲幅在10%-20%。

重重困境下,三星代工如何突圍?

在先進(jìn)制程芯片這場(chǎng)激烈的競(jìng)賽中,臺(tái)積電猶如一位穩(wěn)健的領(lǐng)跑者,而三星則似乎陷入了重重困境。

尤其是在尋求突破3nm工藝的過程中,三星電子良率和能效問題尤為突出。然而,隨著全球無晶圓廠半導(dǎo)體公司和科技巨頭紛紛將目光投向3nm工藝,作為主流技術(shù)的未來趨勢(shì)已然明朗。在這一大背景下,臺(tái)積電憑借其卓越的技術(shù)實(shí)力,吸引了眾多公司的青睞,英偉達(dá)、AMD、英特爾、高通、聯(lián)發(fā)科、蘋果、谷歌等業(yè)界巨頭已紛紛決定將訂單交付給這位全球最大的代工芯片制造商。這一決策無疑進(jìn)一步拉大了臺(tái)積電與三星電子在市場(chǎng)份額上的差距。

盡管三星曾一度在3nm工藝領(lǐng)域占據(jù)先機(jī),但現(xiàn)實(shí)卻頗為殘酷。據(jù)報(bào)道,三星電子代工部門的原型在關(guān)鍵指標(biāo)上明顯落后于臺(tái)積電,從而使其在這場(chǎng)競(jìng)賽中失去了早期的優(yōu)勢(shì)地位。谷歌和高通等公司的選擇更是對(duì)三星的一次沉重打擊,它們?cè)诮?jīng)過深思熟慮后,最終決定將訂單交給臺(tái)積電,這無疑讓三星的處境雪上加霜。

據(jù)TrendForce數(shù)據(jù)顯示,今年第一季度,三星電子的代工市場(chǎng)份額有所下滑,而臺(tái)積電的市場(chǎng)份額則有所上升。這一趨勢(shì)預(yù)示著未來臺(tái)積電在半導(dǎo)體代工領(lǐng)域的地位將更加穩(wěn)固,而三星則需要在技術(shù)和市場(chǎng)策略上做出更多努力以追趕領(lǐng)先者。

三星公布未來工藝路線圖

日前,三星在2024年三星代工論壇上公布了其芯片制造工藝技術(shù)的最新路線圖,涉及的重點(diǎn)包括2納米/1.4納米工藝,以及將在未來三年內(nèi)向客戶提供具有背面供電技術(shù)的路線圖。

其中,SF2節(jié)點(diǎn)(以前稱為SF3P)預(yù)計(jì)會(huì)在2025年推出,主要針對(duì)高性能計(jì)算和智能手機(jī)應(yīng)用而設(shè)計(jì)。與3nm工藝(SF3)相比,三星的2nm工藝性能提升12%,功率效率提升25%,面積減少5%。

2026年,三星計(jì)劃推出SF2P,這是SF2的性能增強(qiáng)版本,其特點(diǎn)是速度更快但密度更低;2027年,三星將發(fā)布SF2Z,該產(chǎn)品將采用背面供電技術(shù)(BSPDN),從而提高性能并增加晶體管密度。此外,這一改進(jìn)還旨在提高電源質(zhì)量和管理壓降(IR Drop),以應(yīng)對(duì)先進(jìn)芯片生產(chǎn)過程中的關(guān)鍵挑戰(zhàn)。

三星SF1.4節(jié)點(diǎn)(1.4納米)計(jì)劃,標(biāo)志著三星將有望在2027年進(jìn)入1.4 納米級(jí)別賽道。與SF2Z不同的是,SF1.4將不包括背面電源傳輸,這使三星有別于英特爾和臺(tái)積電,后者將在其2nm級(jí)和1.6nm級(jí)節(jié)點(diǎn)上引入背面供電技術(shù)。

晶圓代工,戰(zhàn)火蔓延

除了推出高端節(jié)點(diǎn)外,三星還發(fā)布了SF4U節(jié)點(diǎn),這是4納米級(jí)節(jié)點(diǎn)的高性價(jià)比變體,通過光學(xué)收縮提高了功率、性能和面積,預(yù)計(jì)將于2025年量產(chǎn)。

三星最新的工藝技術(shù)路線圖展示了其在2nm及以下節(jié)點(diǎn)上的持續(xù)創(chuàng)新和發(fā)展。隨著BSPDN技術(shù)的引入和1.4nm節(jié)點(diǎn)的推出,三星致力于在半導(dǎo)體制造領(lǐng)域保持領(lǐng)先地位。

在過去一年中,三星代工的AI需求相關(guān)銷售額增長(zhǎng)了80%,預(yù)計(jì)到2028年,其AI芯片代工客戶數(shù)量將比2023年增加4倍,代工銷售額將比2023年增加9倍。

三星電子正在開發(fā)一種集成了封裝晶圓代工非內(nèi)存半導(dǎo)體和HBM的AI解決方案,旨在制造出既高性能又低能耗的AI芯片。與現(xiàn)有技術(shù)相比,這一新工藝有望將研發(fā)到生產(chǎn)的時(shí)間縮短大約20%。

三星電子總裁兼代工業(yè)務(wù)負(fù)責(zé)人崔時(shí)永博士表示:“在眾多技術(shù)圍繞人工智能不斷發(fā)展的時(shí)代,實(shí)現(xiàn)人工智能的關(guān)鍵在于高性能、低功耗的半導(dǎo)體。除了針對(duì)AI芯片優(yōu)化的成熟GAA工藝外,我們還計(jì)劃推出集成式共封裝光學(xué) (CPO) 技術(shù),以實(shí)現(xiàn)高速、低功耗的數(shù)據(jù)處理,為客戶提供一站式人工智能解決方案?!?/span>

晶圓代工,戰(zhàn)火蔓延

此外,在論壇上,三星還分享了其2027年采用硅光子的計(jì)劃,這是其首次宣布此類計(jì)劃。利用光纖在芯片上傳輸數(shù)據(jù),與I/O相比,預(yù)計(jì)數(shù)據(jù)傳輸速度將大幅提高。

三星晶圓廠,又丟客戶

正如上文所述,客戶陸續(xù)決定將訂單交給臺(tái)積電,無疑讓三星的處境雪上加霜。

近日又有消息指出,此前曾使用三星代工廠的設(shè)計(jì)公司Gaonchips作為其生產(chǎn)合作伙伴的DeepX,最近與臺(tái)積電的設(shè)計(jì)公司合作伙伴Asicland簽署了一項(xiàng)協(xié)議,計(jì)劃使用臺(tái)積電的先進(jìn)節(jié)點(diǎn)來制造具有神經(jīng)處理單元(NPU)的SoC。

此外,盡管三星為AMD提供3nm制程服務(wù)的傳聞已久,但AMD CEO Lisa Su在2024年臺(tái)北國(guó)際電腦展的發(fā)布會(huì)上強(qiáng)調(diào),公司仍在與臺(tái)積電合作。

不難看出,搶奪競(jìng)爭(zhēng)對(duì)手的先進(jìn)制程訂單有多么困難。

除了上面提到的3nm客戶丟失外,三星的4nm工藝同樣在此付出了代價(jià)。

本來高通連續(xù)兩代芯片交給三星代工,但是據(jù)說三星的4nm工藝只有35%的良率,這使得產(chǎn)能始終上不去,導(dǎo)致高通不得不把訂單轉(zhuǎn)給了臺(tái)積電,讓后者為其代工4nm的驍龍8 Gen 1 Plus芯片。后續(xù)從驍龍8+、驍龍8 Gen 2到驍龍7+芯片,高通都已經(jīng)轉(zhuǎn)向了臺(tái)積電。

英偉達(dá)的RTX 40顯卡也放棄了三星,改用臺(tái)積電的5nm工藝,且未來這些廠商都會(huì)繼續(xù)和臺(tái)積電合作。

能看到,三星為自己芯片工藝的良率付出了慘痛的教訓(xùn),后續(xù)其工藝制程除了要跟上節(jié)奏之外,三星晶圓代工部門還需要全力以赴來提高良率,否則因良率不高導(dǎo)致無人問津的故事或?qū)⒅匮荨?/span>

英特爾代工,瞄向尖端節(jié)點(diǎn)

新一輪AI浪潮引發(fā)的算力需求急速膨脹,在將GPU之王英偉達(dá)捧上神壇的同時(shí),也讓英特爾這位CPU霸主顯得有些落寞。

2024年第一季度財(cái)報(bào)顯示,英特爾營(yíng)收保持增長(zhǎng),但利潤(rùn)卻無較大起色。但面對(duì)競(jìng)爭(zhēng),英特爾仍有沖勁,從其大舉押注AI芯片及芯片代工的動(dòng)作來看,英特爾正在全新AI時(shí)代找回失落的王座。

從代工業(yè)務(wù)來看,英特爾也同樣在積極推進(jìn)其戰(zhàn)略目標(biāo)尖端節(jié)點(diǎn)的開發(fā)。

英特爾近期宣布,已經(jīng)開始為客戶批量生產(chǎn)intel 3工藝,Intel 3代表了英特爾IDM 2.0戰(zhàn)略中的第三個(gè)工藝節(jié)點(diǎn),該戰(zhàn)略旨在四年內(nèi)開發(fā)五個(gè)工藝節(jié)點(diǎn),并將成為第一個(gè)針對(duì)代工廠制造的先進(jìn)節(jié)點(diǎn)。

晶圓代工,戰(zhàn)火蔓延

Intel 3工藝節(jié)點(diǎn)帶來的一些重大優(yōu)勢(shì)包括更密集的設(shè)計(jì)庫、更大的晶體管驅(qū)動(dòng)電流和更多EUV的使用。該節(jié)點(diǎn)還有三種變體,包括3-T、3-E 和 3-PT。前兩種變體與Intel 4相比,每瓦性能提升了18%,而PT則帶來了額外的性能并且易于使用。所有四種節(jié)點(diǎn)變體都支持240nm高性能和210nm高密度庫。其中,“T”代表硅通孔 (TSV),這是一種垂直方向的電氣連接,可實(shí)現(xiàn)芯片元件之間或堆疊芯片之間的高速互連。

晶圓代工,戰(zhàn)火蔓延

在英特爾看來,Intel 3將在未來至少十年內(nèi)長(zhǎng)期支持代工廠客戶,從而為汽車和物聯(lián)網(wǎng)等需要更長(zhǎng)生命周期的應(yīng)用打開大門。Intel 3的生產(chǎn)爬坡不僅僅是一項(xiàng)制造成就,它代表了英特爾代工廠的一個(gè)重要里程碑和證明點(diǎn)。

值得一提的是,Intel 3節(jié)點(diǎn)是英特爾路線圖上的最后一代FinFET節(jié)點(diǎn),因?yàn)閺南乱淮_始,英特爾就開始推出了其GAA晶體管RibbonFET。

在5月的財(cái)報(bào)電話會(huì)議上,Intel CEO帕特·基辛格(Pat Gelsinger)指出,公司的第一代GAA RibbonFET工藝,即intel 20A,有望在今年推出;后續(xù)產(chǎn)品是intel 18A,預(yù)計(jì)將于2025年上半年投入生產(chǎn),并逐步推進(jìn)至2027年的Intel 10A節(jié)點(diǎn)。

晶圓代工,戰(zhàn)火蔓延

英特爾兩大利器分別是RibbonFET和PowerVia技術(shù):RibbonFET是英特爾對(duì)GAA晶體管的實(shí)現(xiàn),它將成為英特爾自2011年率先推出FinFET以來的首個(gè)全新晶體管架構(gòu);PowerVia是英特爾獨(dú)有的、業(yè)界首個(gè)背面電能傳輸網(wǎng)絡(luò),通過消除晶圓正面供電布線需求來優(yōu)化信號(hào)傳輸。

在英特爾的規(guī)劃中,還將率先采用ASML最新的High-NA EUV光刻機(jī),這也是與競(jìng)爭(zhēng)對(duì)手不同的點(diǎn)。英特爾表示,新工具能夠大幅提高下一代處理器的分辨率和功能擴(kuò)展能力,使英特爾代工廠能夠在英特爾18A之后繼續(xù)保持工藝領(lǐng)先地位。

與此同時(shí),英特爾也在持續(xù)加大力度,今年2月公布了Intel 14A制程,采用了High-NA EUV技術(shù),預(yù)計(jì)最快于2026年量產(chǎn)。而最新的14A-E版本則在14A基礎(chǔ)上進(jìn)一步提升了能耗效率。

晶圓代工,戰(zhàn)火蔓延

英特爾強(qiáng)調(diào)了與ASML TWINSCAN EXE:5000 High-NA EUV光刻設(shè)備結(jié)合的重要性,這將為英特爾的制程技術(shù)帶來新的突破,進(jìn)一步推動(dòng)了制程藍(lán)圖的實(shí)現(xiàn)。

英特爾:力爭(zhēng)成為全球第二大代工廠

英特爾的“全新制程技術(shù)路線圖”證實(shí),其“四年五個(gè)制程節(jié)點(diǎn)”路線圖仍在穩(wěn)步推進(jìn),并將在業(yè)內(nèi)率先提供背面供電解決方案。英特爾預(yù)計(jì)將于2025年通過Intel 18A制程節(jié)點(diǎn)重獲制程領(lǐng)先性。

能看到,英特爾正在規(guī)劃一條新的道路,試圖讓自己成為晶圓代工市場(chǎng)的重要參與者,與臺(tái)積電、三星等晶圓代工廠競(jìng)爭(zhēng),希望重新獲得全球領(lǐng)先芯片制造商的地位。

英特爾的目標(biāo)是在2030年成為全球第二大的半導(dǎo)體制造工廠。為了實(shí)現(xiàn)這一目標(biāo),英特爾正在加強(qiáng)執(zhí)行力,推動(dòng)面向AI時(shí)代的系統(tǒng)級(jí)代工,打造前沿并具多元化的制造能力。

此外,英特爾還重點(diǎn)介紹了其在成熟制程節(jié)點(diǎn)上的進(jìn)展,如今年1月份宣布與UMC聯(lián)合開發(fā)的全新12納米節(jié)點(diǎn)。英特爾代工計(jì)劃每?jī)赡晖瞥鲆粋€(gè)新節(jié)點(diǎn),并一路推出節(jié)點(diǎn)的演化版本,通過英特爾領(lǐng)先的制程技術(shù)幫助客戶不斷改進(jìn)產(chǎn)品。

英特爾代工還宣布將FCBGA 2D+納入英特爾代工先進(jìn)系統(tǒng)封裝及測(cè)試(Intel Foundry ASAT)的技術(shù)組合之中,這一組合將包括FCBGA 2D、FCBGA 2D+、EMIB、Foveros和Foveros Direct等技術(shù)。

英特爾代工策略&;換帥

自從Pat Gelsinger于2021年重返英特爾CEO職位以來,公司便積極調(diào)整戰(zhàn)略,致力于擺脫傳統(tǒng)產(chǎn)品和制造技術(shù)難以按計(jì)劃實(shí)現(xiàn)的困境。蓋爾辛格領(lǐng)導(dǎo)下的英特爾,以“IDM2.0”為核心戰(zhàn)略,持續(xù)推動(dòng)新產(chǎn)品和制造技術(shù)的研發(fā)與落地。

作為IDM2.0戰(zhàn)略的重要組成部分,英特爾推出了IFS(Intel Foundry Service)業(yè)務(wù),與傳統(tǒng)的制造模式不同,IFS將英特爾的制造設(shè)施開放給除Intel產(chǎn)品部門外的其他公司,承接它們?cè)O(shè)計(jì)的半導(dǎo)體產(chǎn)品的制造。這一創(chuàng)新模式不僅拓展了英特爾的業(yè)務(wù)范圍,也為其帶來了更多的合作機(jī)會(huì)和市場(chǎng)空間。

半導(dǎo)體產(chǎn)業(yè)被譽(yù)為“規(guī)模經(jīng)濟(jì)”的代表,生產(chǎn)規(guī)模對(duì)制造效率具有重要影響。當(dāng)前,諸如TSMC等專注于受托制造高性能半導(dǎo)體的廠商,在規(guī)模上已經(jīng)超越了僅面向Intel制造的Intel制造部門。然而,英特爾通過IFS業(yè)務(wù)的推出,正積極尋求在規(guī)模和效率上的平衡,以實(shí)現(xiàn)更大的市場(chǎng)份額和競(jìng)爭(zhēng)優(yōu)勢(shì)。

英特爾通過明確的目標(biāo)、積極的路線圖、創(chuàng)新的IDM2.0戰(zhàn)略以及IFS業(yè)務(wù)的推出,正逐步在半導(dǎo)體產(chǎn)業(yè)中展現(xiàn)出其強(qiáng)大的競(jìng)爭(zhēng)力和領(lǐng)導(dǎo)力。

在一個(gè)多月前,英特爾宣布聘請(qǐng)了資深行業(yè)人士凱文·奧巴克利擔(dān)任其代工芯片制造業(yè)務(wù)的高級(jí)副總裁兼總經(jīng)理,標(biāo)志著英特爾在代工領(lǐng)域的新一輪戰(zhàn)略布局正式啟動(dòng)。奧巴克利在IBM、格芯、Avera Semiconductor以及Marvell等知名企業(yè)擔(dān)任過高級(jí)職位

奧巴克利的到來,無疑為英特爾的代工業(yè)務(wù)注入了新的活力。他將在5月底接替即將退休的斯圖·潘恩,成為執(zhí)行領(lǐng)導(dǎo)團(tuán)隊(duì)的一員,直接向CEO帕特·基辛格匯報(bào)工作。這一變動(dòng),不僅體現(xiàn)了英特爾對(duì)于代工業(yè)務(wù)的重視,也彰顯了其對(duì)于未來半導(dǎo)體市場(chǎng)發(fā)展趨勢(shì)的深刻洞察。

隱瞞巨額虧損,英特爾面臨集體訴訟

據(jù)外媒近日披露,英特爾正面臨一項(xiàng)集體訴訟,投資人指控其涉隱瞞“英特爾代工服務(wù)”部門約70億美元的巨額虧損。

報(bào)道稱,投資人指控英特爾在今年1月報(bào)告2023年業(yè)績(jī)時(shí),沒有正確披露制造部門的虧損情況。訴狀指控,英特爾夸大其代工服務(wù)部門的成長(zhǎng)和利潤(rùn),該部門在2023年實(shí)際遭受巨額虧損,產(chǎn)品利潤(rùn)也下降,這使得公司及其代工策略的正面表態(tài)具有誤導(dǎo)性,涉嫌虛假陳述或隱瞞行為。

不僅如此,英特爾還將約30%的產(chǎn)能外包給臺(tái)積電等晶圓代工廠商,這一行為也進(jìn)一步激怒了投資者。

訴狀具體列舉了英特爾涉嫌的虛假陳述或隱瞞行為,包括:

英特爾代工服務(wù)的成長(zhǎng)并不代表內(nèi)部部門可報(bào)告的收入成長(zhǎng);

英特爾代工部門在2023 年出現(xiàn)重大經(jīng)營(yíng)虧損;

由于內(nèi)部收入下降,該部門的產(chǎn)品利潤(rùn)出現(xiàn)下滑;

因此,代工模式不會(huì)成為公司整合封裝測(cè)試(IFS)策略的有力推手;

由于上述原因,被告關(guān)于公司業(yè)務(wù)、營(yíng)運(yùn)和前景的積極表態(tài)在實(shí)質(zhì)上具有誤導(dǎo)性或缺乏合理依據(jù)。

此訴訟由Levi &; Korsinsky律師事務(wù)所發(fā)起,該所呼吁英特爾投資者加入針對(duì)該公司的集體訴訟。

先進(jìn)制程之外,

三巨頭“火拼”先進(jìn)封裝

從三家代工巨頭的路線圖來看,先進(jìn)晶圓代工市場(chǎng)競(jìng)爭(zhēng)激烈,臺(tái)積電和三星在先進(jìn)制程上競(jìng)爭(zhēng),英特爾四年五個(gè)節(jié)點(diǎn)制程開發(fā)依計(jì)劃進(jìn)行中。

三大晶圓代工巨頭動(dòng)作頻頻,正在進(jìn)行新一輪的競(jìng)爭(zhēng)激戰(zhàn)。

晶圓代工,戰(zhàn)火蔓延

數(shù)據(jù)參考:全球半導(dǎo)體觀察

誰將成為下一個(gè)晶圓代工業(yè)的“王者”或許仍是一個(gè)懸而未決的問題,但可以確定的是,持續(xù)的創(chuàng)新和技術(shù)突破將成為決定性因素。

而另一邊,在摩爾定律逐漸放緩的趨勢(shì)下,僅僅從微縮晶體管,提高密度以提升芯片性能的角度正在失效。對(duì)此,先進(jìn)封裝成為后摩爾時(shí)代彌補(bǔ)芯片性能和成本的重要解決方案之一。

這也成為了晶圓代工巨頭們的新戰(zhàn)場(chǎng)。

其中,臺(tái)積電是全球先進(jìn)封裝技術(shù)的領(lǐng)軍者,旗下3D Fabric擁有CoWoS、InFO、SoIC等多種先進(jìn)封裝工藝。

晶圓代工,戰(zhàn)火蔓延

CoWoS是臺(tái)積電最經(jīng)典的先進(jìn)封裝技術(shù)之一。2011年至今,臺(tái)積電的CoWoS工藝已經(jīng)迭代至第五代,期間中介層面積、晶體管數(shù)量、內(nèi)存容量不斷擴(kuò)大。英偉達(dá)、AMD、博通、Marvell等都是臺(tái)積電CoWoS工藝的大客戶。

晶圓代工,戰(zhàn)火蔓延

臺(tái)積電CoWoS結(jié)構(gòu)示意圖

自AIGC爆火之后,CoWoS也隨之成為行業(yè)焦點(diǎn),行業(yè)重量級(jí)客戶持續(xù)對(duì)臺(tái)積電追加CoWoS訂單。為應(yīng)對(duì)大客戶需求,臺(tái)積電加快CoWoS先進(jìn)封裝產(chǎn)能擴(kuò)充腳步。

此外,臺(tái)積電還開發(fā)了廉價(jià)版的CoWoS技術(shù),即InFO技術(shù),降低了單位成本和封裝高度。這也是InFO技術(shù)在移動(dòng)應(yīng)用和HPC市場(chǎng)成功的重要原因,為臺(tái)積電后來能獨(dú)占蘋果A系列處理器打下了關(guān)鍵基礎(chǔ)。

除了CoWoS和InFO,2018年,臺(tái)積電首度對(duì)外界公布了創(chuàng)新的系統(tǒng)整合單芯片(SoIC)多芯片3D堆疊技術(shù)SoIC,這標(biāo)志著臺(tái)積電已具備直接為客戶生產(chǎn)3D IC的能力。作為業(yè)內(nèi)第一個(gè)高密度3D chiplet堆疊技術(shù),SoIC被看作“3D封裝最前沿”技術(shù)。

憑借其凸塊密度更高,傳輸速度更快,功耗更低等優(yōu)勢(shì),SoIC或?qū)⒊蔀樾袠I(yè)未來發(fā)展的主要封裝技術(shù)趨勢(shì)。當(dāng)前,臺(tái)積電也正在積極上調(diào)SoIC的產(chǎn)能計(jì)劃,計(jì)劃到2024年年底,月產(chǎn)能躍升至5000-6000顆,以應(yīng)對(duì)未來AI和HPC的強(qiáng)勁需求。

可見,臺(tái)積電憑借其領(lǐng)先的先進(jìn)封裝技術(shù)吃盡紅利。

當(dāng)然,英特爾,三星兩位強(qiáng)敵在此領(lǐng)域也絲毫不敢懈怠。

英特爾通過多年技術(shù)探索,相繼推出了EMIB、Foveros和Co-EMIB等多種先進(jìn)封裝技術(shù),在互連密度、功率效率和可擴(kuò)展性三個(gè)方面持續(xù)精進(jìn)。

從英特爾發(fā)布的先進(jìn)封裝技術(shù)藍(lán)圖來看,其計(jì)劃將傳統(tǒng)基板轉(zhuǎn)為更為先進(jìn)的玻璃材質(zhì)基板,以實(shí)現(xiàn)新的超越;同時(shí)英特爾也將在布局硅光模塊中的CPO(共封裝光學(xué))技術(shù),通過玻璃材質(zhì)基板設(shè)計(jì),利用光學(xué)傳輸?shù)姆绞皆黾有盘?hào)交換時(shí)的可用頻寬,以優(yōu)化算力成本。

晶圓代工,戰(zhàn)火蔓延

英特爾先進(jìn)封裝路線圖(圖源:英特爾官網(wǎng))

結(jié)合英特爾在先進(jìn)制程上一系列動(dòng)態(tài),外界預(yù)期,英特爾將結(jié)合先進(jìn)制程與先進(jìn)封裝兩條線,希冀在晶圓代工領(lǐng)域?qū)崿F(xiàn)“1加1大于2”的效果。

三星在2.5D/3D先進(jìn)封裝技術(shù)領(lǐng)域同樣也在積極布局,并已經(jīng)推出I-Cube、X-Cube等先進(jìn)封裝技術(shù)。針對(duì)2.5D封裝,三星推出的I-Cube技術(shù)可以和臺(tái)積電的CoWoS技術(shù)相媲美。針對(duì)3D封裝,三星在2020年推出X-Cube技術(shù),將硅晶圓或芯片物理堆疊,并通過TSV連接,最大程度上縮短了互聯(lián)長(zhǎng)度,在降低功耗的同時(shí)提高傳輸速率。

另外,三星計(jì)劃在2024年量產(chǎn)可處理比普通凸塊更多數(shù)據(jù)的X-Cube封裝技術(shù),并預(yù)計(jì)2026年推出比X-Cube處理更多數(shù)據(jù)的無凸塊型封裝技術(shù)。擁有從存儲(chǔ)器、處理器芯片的設(shè)計(jì)、制造到先進(jìn)封裝業(yè)務(wù)組合的優(yōu)勢(shì)。

晶圓代工,戰(zhàn)火蔓延

三星先進(jìn)封裝技術(shù)路線圖(圖源:方正*券研究所)

綜合來看,先進(jìn)芯片是工藝的決戰(zhàn),也是先進(jìn)封裝的交鋒。先進(jìn)封裝與制程工藝可謂相輔相成,在提高芯片集成度、加強(qiáng)互聯(lián)、性能優(yōu)化的過程中扮演了重要角色,是性能持續(xù)提升的重要保障。對(duì)于在工藝節(jié)點(diǎn)時(shí)間上的爭(zhēng)奪,先進(jìn)封裝已成為三大巨頭“不可忽視”變數(shù)。

更多先進(jìn)封裝詳細(xì)技術(shù)細(xì)節(jié)和廠商布局情況,筆者在此前文章《臺(tái)積電“攻防戰(zhàn)”》、《先進(jìn)封裝大戰(zhàn),升級(jí)!》中有過詳細(xì)介紹,更詳細(xì)內(nèi)容可跳轉(zhuǎn)前文了解。

寫在最后

Counterpoint數(shù)據(jù)顯示,半導(dǎo)體行業(yè)在2024年第一季度已顯露出需求復(fù)蘇的跡象。行業(yè)在經(jīng)過連續(xù)幾個(gè)季度去庫存后,渠道庫存已經(jīng)趨于正?;I的強(qiáng)勁需求和終端產(chǎn)品需求的復(fù)蘇將成為2024年晶圓代工行業(yè)的主要增長(zhǎng)動(dòng)力。

隨著全球經(jīng)濟(jì)的逐步復(fù)蘇和半導(dǎo)體需求的增加,這些確實(shí)可能會(huì)幫助晶圓代工廠抓住市場(chǎng)機(jī)遇,提高產(chǎn)能利用率,并增強(qiáng)與客戶的合作關(guān)系。

然而,半導(dǎo)體行業(yè)是一個(gè)高度競(jìng)爭(zhēng)和快速變化的領(lǐng)域,晶圓廠需要不斷創(chuàng)新和調(diào)整戰(zhàn)略,以適應(yīng)市場(chǎng)的長(zhǎng)期變化。此外,還需要關(guān)注全球供應(yīng)鏈的穩(wěn)定性、技術(shù)進(jìn)步以及政策和地緣政治因素的影響。

回到行業(yè)格局來看,臺(tái)積電一家的產(chǎn)能占比縱然超過60%,但仍難以完全維持龐大的先進(jìn)制程市場(chǎng)。哪怕三星和英特爾的芯片會(huì)陷入性能或良率“滑鐵盧”的風(fēng)險(xiǎn),也依舊會(huì)有廠商在產(chǎn)能和價(jià)格因素的驅(qū)動(dòng)下,愿意去“嘗嘗螃蟹”。

未來,圍繞先進(jìn)工藝和先進(jìn)封裝的技術(shù)之爭(zhēng)將在臺(tái)積電、三星和英特爾之間長(zhǎng)期進(jìn)行,代工三巨頭的拉鋸戰(zhàn)也將成為推動(dòng)摩爾定力繼續(xù)前行的動(dòng)力,推動(dòng)下一個(gè)“彎道”時(shí)刻的到來。

晶圓 代工 半導(dǎo)體
評(píng)論
還可輸入300個(gè)字
專欄介紹
半導(dǎo)體行業(yè)觀察
43篇文章
最有深度的半導(dǎo)體新媒體,實(shí)訊、專業(yè)、原創(chuàng)、深度,50萬半導(dǎo)體精英關(guān)注!專注觀察全球半導(dǎo)體最新資訊、技術(shù)前沿、發(fā)展趨勢(shì)?!赌柧ⅰ贰吨袊?guó)集成電路》共同出品,歡迎訂閱摩爾旗下公眾號(hào):摩爾精英MooreElite、摩爾芯聞、摩爾芯球
+關(guān)注
400-858-9000
免費(fèi)服務(wù)熱線
kefu@trjcn.com
郵箱
09:00--20:00
服務(wù)時(shí)間
18658148790
投訴電話
投融界App下載
官方微信公眾號(hào)
Copyright ? 2024 浙江投融界科技有限公司(www.massiveresultsprotocol.com) 版權(quán)所有 | ICP經(jīng)營(yíng)許可證:浙B2-20190547 | 浙ICP備10204252號(hào)-1 | 浙公網(wǎng)安備33010602000759號(hào)
地址:浙江省杭州市西湖區(qū)留下街道西溪路698號(hào)15號(hào)樓509室
浙江投融界科技有限公司trjcn.com版權(quán)所有 | 用戶協(xié)議 | 隱私條款 | 用戶權(quán)限
應(yīng)用版本:V2.7.8 | 更新日期:2022-01-21
 安全聯(lián)盟
在線客服
手機(jī)APP
微信訂閱